DE0 Nano Introduction

DE0 Nano Project Files:


DE0_NANO.vhd

DE0_NANO_default.zip



Building The VHDL Project
           With Quartus II installed, let's take a look at a default project that Terasic has provided us. The project has already taken care of all the tiny stuff that match FPGA pins up to the proper hardware, so we'll just throw in some new VHDL and watch it work.


Load the Terasic's Application CD into your computer and copy and paste the DE0_Nano_Default directory to somewhere on your computer. I put it on my laptop's desktop:


Open up Quartus II. You should be greeted with the following window:


Open up the DE0 Nano project from the folder you just copied to your computer.


If you double click the DE0_Nano in the Entity window, you will see Terasic's default verilog code for the project. Let's replace this with my little VHDL program.


Click the files tab, then right click on DE0_Nano.v and remove it from the project.


Right click again, and add the DE0_Nano.vhd file inside the project directory.


With the new VHDL file added, give the compile window a right click and start the compile process.


The compiler will finish and the programming file will be created! So, let's move onto the next step, getting the compiled file onto your DE0 Nano dev board.