DE0 Nano Introduction

Project Info
Author: Chris
Difficulty: Easy
Time Invested: 1 Hour

Prerequisites:
Take a look at the above
articles before continuing
to read this article.
           For those of you out there who want to learn about the world of programmed logic but are not experienced enough to Build Your Own CPLD Dev-Board, you're in luck because a fairly cheap but very powerful FPGA development board is now on the market. It's called the DE0 Nano!
           This article will take a look at how to get Altera's IDE: Quartus II installed onto a computer and how we use Quartus II to make an FPGA program, compile it and get it onto the DE0 Nano's Cyclone IV FPGA. The DE0 Nano has many peripherals like an Accelerometer, RAM, A/D converter and more, but we'll stay with the basics for this intro.

DE0 Nano Introduction - Demonstration

DE0 Nano Setup

Purpose & Overview of this article
           The core purpose of this article is to help everyone out there with a DE0 Nano board, to overcome the initial learning curve that smacks you in the face whenever you get a new development board. A simple FPGA program will be built and loaded into the onboard EEPROM. Then whenever the FPGA is powered up, the FPGA will be configured with our program from the EEPROM.
           The process that we will follow to get our program created and onto the FPGA board, will start with installing Quartus II and using a default project provided by Terasic, the manufacturer of the DE0 Nano. They didn't provide any VHDL examples (only Verilog), so to that point I decided to build a simple program in VHDL that looks at push button input and blinks output LEDs accordingly.